jeudi 28 mai 2015

Vhdl Test Bench Unknown Syntax Error

I am trying to write a testbench but Vivado tells me that I have a Syntax error on a specific line. I am not able to realize what have I done wrong. Can anyone help.

Here is my tb code:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.Numeric_Std.all;

entity mmu_tb is
end mmu_tb;

architecture test of mmu_tb is

  component mmu
    port (
      virt : in std_logic_vector(15 downto 0);
      phys : out std_logic_vector(15 downto 0);
      clock   : in  std_logic;
      we      : in  std_logic;
      datain  : in  std_logic_vector(7 downto 0)
    );
  end component;

  signal virt    std_logic_vector(15 downto 0);
  signal phys    std_logic_vector(15 downto 0);
  signal clock   std_logic;
  signal we      std_logic;
  signal datain  std_logic_vector(7 downto 0);

  constant clock_period: time := 10 ns;
  signal stop_the_clock: boolean;

begin

  mmu : mmu port map ( virt   => virt,
                     phys   => phys,
                     clock  => clock,
                     we     => we,
                     datain => datain);

 stimulus : process
     begin
     -- whatever
     end process;

     clocking: process
       begin
         while not stop_the_clock loop
           clock <= '1', '0' after clock_period / 2;
           wait for clock_period ;
         end loop;
         wait;
       end process;


end test;

And here is the error I get:

[HDL 9-806] Syntax error near "std_logic_vector". ["C:/ram/ram/http://ift.tt/1G25TV5":20]

Thank you for your time.

Aucun commentaire:

Enregistrer un commentaire